[爆卦]記憶體cpu關係是什麼?優點缺點精華區懶人包

雖然這篇記憶體cpu關係鄉民發文沒有被收入到精華區:在記憶體cpu關係這個話題中,我們另外找到其它相關的精選爆讚文章

在 記憶體cpu關係產品中有44篇Facebook貼文,粉絲數超過1萬的網紅優分析UAnalyze,也在其Facebook貼文中提到, 台積電(2330)漲價後 終端應用誰受傷最重? 台積電調漲晶片價格10-20%後,供應鏈中的IC設計廠承受毛利壓力外,富比士雜誌(Forbes)針對終端應用領域,來說明哪些受影響較大。 🚗汽車成本增加不大 1. 在汽車製造成本當中,晶片占比相對較小。 2. 2020年新車使用的半導體價值大約55...

 同時也有15部Youtube影片,追蹤數超過8萬的網紅Wannasinging老王,也在其Youtube影片中提到,這個系列到底要不要feat. 八毛 Fick 鳥屎啊XDDDD ======================================= 粉絲團: https://www.facebook.com/WannaSinging IG:https://www.instagram.com/wanna...

記憶體cpu關係 在 4Gamers編輯部 Instagram 的精選貼文

2020-09-21 14:34:54

Intel 今日釋出 Tiger Lake 平台首款試產機,搭載 Core i7-1185G7 CPU,並公布多項軟體在不同電源模式的效能表現。⁣ ⁣ 這台試產機為 14 吋機種,採用全金屬外殼,搭配湛藍色的鑽石切邊點綴,氣質相當高雅,厚度僅 17mm。螢幕運用窄邊框設計,配置 14 吋 Full ...

  • 記憶體cpu關係 在 優分析UAnalyze Facebook 的精選貼文

    2021-08-31 17:35:31
    有 114 人按讚

    台積電(2330)漲價後 終端應用誰受傷最重?

    台積電調漲晶片價格10-20%後,供應鏈中的IC設計廠承受毛利壓力外,富比士雜誌(Forbes)針對終端應用領域,來說明哪些受影響較大。

    🚗汽車成本增加不大
    1. 在汽車製造成本當中,晶片占比相對較小。
    2. 2020年新車使用的半導體價值大約550美元,僅占2%,即使晶片都漲價20%,汽車成本也只增加約100美元。
    3. 電動車需要的更貴的晶片,頂多再多兩倍,但即便如此,多增加的成本幅度仍很小,不超過1%。
    📱📲手機將轉嫁給終端買家
    1. 以iPhone 12為例,材料成本估計要370美元,螢幕、電池和機械組件之外,晶片約210美元。占定價829美元的手機占四分之一。
    2. 台積電最先進製程據報漲價10%,支援的是蘋果、聯發科、高通和其他公司的智慧手機晶片,以蘋果來說,成本就要增加大約20美元。
    3. 導致蘋果公司需要提高手機售價,才能維持原有利潤率,影響到的是手機買主。
     
    📁資料中心伺服器晶片成本占最多
    1. 一台售價7,500美元的伺服器裡,通常會有兩個CPU,總成本2,100美元,占28%;加上記憶體和其他組件,半導體共約有4,800美元,占64%。
    2. 即使晶片價格只漲10%,也會使售價增加7%-8%。
    3. 不過大多伺服器晶片供應商是英特爾、三星、SK海力士和美光,而非台積電。
    4. 但較小的伺服器晶片供應商,如超微(AMD)和輝達(Nvidia),有許多晶片向台積電採購,受到的毛利衝擊會較深。

    🖥IC設計廠影響最大
    1. 驅動IC、微控制器、消費性IC等三類以成熟製程生產的IC設計廠壓力最大。
    2. 像是驅動IC業者就面臨客戶面板廠不再照單全收,因晶圓代工報價上揚而調升的晶片報價。
    3. 也可看出IC設計業者成本轉嫁給客戶的空間已到頂,毛利率難再有突破。
    ***
    晶圓代工廠簽長約保價保量 聯電(2303)預付保證金最特別
    ⚠️保價保量合約的風險
    1. 內容是必須保證價格與下單數量,期間平均兩年、長則三年。
    2. 保證的價格是簽約近期調漲後的報價,保證的數量則是即使之後況反轉,仍需按照合約還是必須下足訂單量。
    3. 簽下能確保當下產能無虞、搭上需求熱潮,但後續市場反轉後跌價,就會面臨高價庫存壓力。
     
    🔑晶圓代工廠中聯電較特別
    1. 保價保量合約有助晶圓代工廠,降低2023年後可能面臨需求不再熱絡,但擴廠、擴產支出已投入的風險。
    2. 聯電表示一直都是與客戶簽訂長約即定價、定量,只是產能供不應求下,客戶簽訂長約情況增多。
    3. 且聯電南科12A廠P6廠是由大客戶群預付保證金,確保取得P6未來產能,對雙方都是保障,也顯示大客戶們看好未來晶圓代工產能仍很吃緊。
    ***
    晶圓代工全面漲價 矽智財力旺(3529)也是主要受惠者
    🏆台積電漲價的大受惠者
    1. 力旺收費是以每片晶圓價格為計價基礎,目前最大收益的驅動IC與電源管理IC等成熟製程客戶,都是在台積電投片為主。
    2. 力旺也與台積電有長期合作關係而台積電這次成熟製程代工價漲幅高達二成,對力旺營收與獲利都有加乘效果。
    3. 也因為此一利多讓股價近日大漲,頻創歷史天價。

    🙌力旺營收結構
    1. 授權金佔比32.2%,權利金佔比67.8%,權利金其實是主要收益來源。
    2. 第2季營收中8吋晶圓貢獻權利金收入51.8%,而電源管理IC、感測器及車用晶片,將在未來持續貢獻8吋權利金。12吋晶圓權利金則占佔第2季營收48.2%,季減6.3%。
     
    👀下半年展望
    1. 下半年進入傳統旺季,預估12吋比重將達50%,且28奈米成熟製程也將持續貢獻成長。
    2. 力旺的OLED DDI、ISP、WiFi 6、TWS、BMC等應用都已在28奈米投片,預期未來各類應用將逐步向28奈米製程投片,全球也積極擴建28奈米產能。
    3. 加上力旺在各代工廠完成超過140個成熟製程28/22奈米的設計定案,未來將成為主要成長動能之一。

    #力旺 #台積電 #聯電

  • 記憶體cpu關係 在 Facebook 的最佳解答

    2021-07-30 10:40:49
    有 217 人按讚

    譚新強:中國正式墮入修昔底德陷阱
    文章日期:2021年7月30日

    【明報專訊】中國已墮進修昔底德陷阱。此發展非常危險和可惜,因為中國過去40多年的和平崛起,是歷史上罕見的,不止幫助全中國人民脫貧,更對全球經濟發展有極大貢獻,都是值得表揚的。不過,不幸地近日所見到的一系列重要政策突變,不止引發出一場嚴重的所謂監管風波,其實有更深層次的意義,似乎代表頗根本性的經濟發展模式和甚至外交策略改變。

    對此發展表示擔心的不止我一個,連長期中國大好友、美國著名經濟學家羅奇(Stephen Roach)近日也發表文章,直言憂心中美已進入冷戰初期,同時中國大力打擊創業家的「動物本能」(animal spirit),且危險地攻擊大量科技行業,包括各種電商、金融科技、社交平台、線上線下教育等等的商業模式,似乎正全面重估市場經濟的定位。羅奇甚至擔心此改變將影響到中國不能達到整體長期發展目標。

    應鼓勵企業走出國際 爭取傳媒話語權
    我相信近日針對個別行業的監管行動都有一定原因和道理,但絕不應變成嚴重內鬥(我明白內卷和內鬥的所謂區別,但我不忌諱,所以直稱為內鬥)的藉口,不可因一棵樹而放棄整個森林!近月我已多番發聲提醒中國慎防形成圍城受困心態,應把眼光放得遠一點,鼓勵企業走出國際,爭取中國傳媒的話語權,與提升中國在國際金融市場地位。

    先分析一下墮進陷阱的原因,主要有4個:
    (1) 從2008年的金融海嘯開始,中國已看到美國經濟過度金融化的害處。更必然對以量化寬鬆(Quantitative easing,QE)印鈔解決問題非常反感,因為此舉無疑等如把問題輸出國外,由中國和其他持有大量美國國債的人來買單。

    我明白但不完全同意此觀點。美國和西方固然虛偽和採用雙重標準,在1997年亞洲金融風暴期間,就責備亞洲各國貪腐和揮霍無度,所以解決方法就是即使在金融危機中,仍需勒緊褲頭,大減財政開支,結果弄至多年衰退,且通縮殺傷力遠超通脹,導致無數亞洲企業和人民破產,不少人自殺,在印尼甚至出現過恐怖的屠殺華人事件。

    QE的後遺症的確包括嚴重拉闊貧富懸殊,確是近年全球很多地方出現不同規模社會動亂的主要背景原因之一,但這後果應仍遠比在2008年時採取相反收緊政策為佳。經此一役,中國學懂了防範經濟過度金融化,且決定把資本帳收得更緊,或最少大大拖慢了開放的步伐。但問題是此憂慮來得太早了,中國資本市場仍未成功發展起來,體積是增大了不少,但只約等同GDP,且從來不健康,熊市時間遠比牛市長,債市更是仍然混亂,信貸評級搞笑居多。

    加速開放資本帳 提升人民幣地位
    更重要的是中國在2008年後,雖成功把人民幣推入國際貨幣基金組織(IMF)的一籃子貨幣,A股亦終能加入MSCI指數,即是我從前形容的「金融WTO」,但一如我所料,成功比「工業WTO」更難。最主要竟非外國的阻擋,而是中國仍缺乏打開資本帳的勇氣。結果就是名義GDP落後PPP GDP超過50%,從外國觀點,亦即人民幣滙率被壓低超過50%,所以導致相對中國的嚴重貿易赤字,可說中西方關係日趨緊張的重要導火線之一。

    我認為中國非常需要加速開放資本帳,提升人民幣地位,最重要手法正是收窄貿易平衡,大量輸出人民幣,加深相互投資和貿易關係,使到西方更難與中國脫鈎,有助確保世界和平。

    (2)COVID疫情絕對是世界歷史的一個分水嶺。毫無疑問,對比全世界,中國治疫是非常成功的,雖然仍有近5000人死亡,但相對西方,肯定等如救了最少近300萬條寶貴生命;加上中國慷慨,領先對國際伸出援手,輸出大量勇敢醫護人員、防疫用品和疫苗,其實非常值得表揚,但由於中國媒體力量太弱,反而經常被抹黑,實在令人氣惱。

    但我也曾指出,從某角度看,中國治疫可能有點太成功了,令國人形成牢固的零容忍心理,政府因此不能或不敢改變接近完全封關的政策。一眨眼,措施已維持了一年半有多,連香港本地個案清零已超過50天,仍毫無與中國內地和澳門通關迹象。我明白「Delta變種病毒」仍然全球肆虐,但多種疫苗,包括輝瑞-BioNTech的疫苗「復必泰」,似乎對防範重症和死亡仍非常有效,中國毋須介懷,應加快審批和推廣,和馬上研究混合接種不同疫苗的安全和有效性。

    中國封關程度超明朝 如自我孤立
    據觀察,中國可能繼續封關至明年中,甚至明年底,事態其實非常嚴重;老實講,封關的嚴緊度已超過明朝程度!我已多次指出,封關的害處遠超過經濟損失那麼簡單,最大壞處有兩方面,首先是斷絕了正常人民的商業、學術、文化和外交住來,等如中國自我孤立,與外國的誤會和矛盾只會日益加深。第二,更可怕的是停止與外面的實體接觸,互聯網亦是部分封閉的,所以逐漸形成圍城自困心態,更容易產生偏執症(paranoia),經常杯弓蛇影,以為裏裏外外,到處都是敵人。這亦是內鬥加劇的成因之一吧。

    (3)兩年前香港的動亂,肯定也是改變中國政策思維的一個機重要催化劑。雖然在香港一事上,毫無疑問,全國絕大多數人民是支持中央和香港政府的止暴制亂政策,亦因此事令到中國人民更團結。

    香港問題固然有其獨特歷史、文化和政經原因,但為何過去兩年,全球超過100個地方,包括美國本土,都有形式極度相似(包括衣着、雨傘作保護、武器等等)的暴亂?除QE是一個共通導火線,明顯社交平台和整個全球互聯網文化,亦是一個超重要傳播各種真真假假的消息、新聞、科學、迷信和各種意識形態的「危險」渠道。即使在疫情上,連美國政府也知道facebook(美:FB)和Twitter(美:TWTR)等,負上極大責任,簡直可說滿手鮮血。

    中國一向維穩至上,當然必須未雨綢繆,即使小規模動亂的機會亦不容許出現,所以加強監管整個互聯網是必然的後果。當然反壟斷和網路國家安全等考慮也是真實的,美國也有類似擔憂,但美國確以資本為主,人命為次,可說非常錯誤,令到監管太慢,結果養虎為患,但他們同時也考慮到facebook和Google等新媒體,對展現美國霸權的重要性。中國應如何做法,我沒有完美答案,只可說監管是一個平衡遊戲,更是一門藝術。

    中國倘自主半導體技術 未必利全球安全
    (4)最後,美國過去4年,率先墮進修昔底德陷阱,危險的零和遊戲思維,發動全方位貿易、金融、媒體、意識形態和科技戰,嚴重刺激中國反思和檢討自己所有政策,既是必須的,亦是無可避免的。結論跟我多年前的觀察一樣,相信我是全球有數最早指出的,中國核心科技最弱一環正是半導體,必須急起直追,重點不在設計,而在製造。而且瓶頸不止在芯片,更缺乏的是半導體生產設備。

    我當然完全同意,亦十分支持,但我當年亦同時提醒中國,不可讓半導體成為一種沉迷,反成為加速墮進陷阱的誘因。不幸的是近日中國政策以至投資者,都似乎對半導體有點沉迷。

    問題有三方面,第一,欲速則不達,清華紫光的下場就是個好例子。盲目投入大量資金,企圖收買人才和技術,但管理層缺乏知識和經驗,加上美國多方面故意留難、禁運和制裁,結果發展速度遠遜預期,公司卻債台高築,陷入財困。過去5年,除NAND技術稍為追近國際水平,DRAM、邏輯芯片、代工、EDA和生產設備等發展,仍未盡理想,進口芯片比例,只從90%略降至約85%。

    第二,如中國真能達到自主所有半導體設計和生產技術,其實對全球貿易和安全都未必是好事。本來高科技就是最需要全球一體化底下的國際合作,家家有求才能促進貿易和技術發展,亦有助維繫國際安全。

    第三,中國既是泱泱大國,理應胸襟廣闊,能同時有效和平衡地監管各行各業,為何突然判斷只有硬件技術如半導體、電池和電動車等才算高科技,才配在A股上市。剎那間,互聯網從雲端跌落凡間(爛gag),甚或更低些少。物流(Logistics)從來都是「線性最優化」(linear programming optimization)的高級數學難題,現今被貶為不值一文的低端送白菜商業模式!

    我真的有點後悔從前提出的4個高科技不等號:

    1. 硬件>軟件
    2. 製造>設計
    3. GPU>CPU
    4. 記憶體>邏輯
    現在幾乎全都應驗了,彷彿成為中國的發展藍圖!可能我應該把它改為等號!

    (中環資產持有facebook及Google財務權益)

    中環資產投資行政總裁
    [譚新強 中環新譚]

    https://www.mpfinance.com/fin/columnist2.php?col=1463481132098&node=1627586934382&issue=20210730

  • 記憶體cpu關係 在 台灣物聯網實驗室 IOT Labs Facebook 的最佳貼文

    2021-07-27 11:56:34
    有 1 人按讚

    摩爾定律放緩 靠啥提升AI晶片運算力?

    作者 : 黃燁鋒,EE Times China
    2021-07-26

    對於電子科技革命的即將終結的說法,一般認為即是指摩爾定律的終結——摩爾定律一旦無法延續,也就意味著資訊技術的整棟大樓建造都將出現停滯,那麼第三次科技革命也就正式結束了。這種聲音似乎是從十多年前就有的,但這波革命始終也沒有結束。AI技術本質上仍然是第三次科技革命的延續……

    人工智慧(AI)的技術發展,被很多人形容為第四次科技革命。前三次科技革命,分別是蒸汽、電氣、資訊技術(電子科技)革命。彷彿這“第四次”有很多種說辭,比如有人說第四次科技革命是生物技術革命,還有人說是量子技術革命。但既然AI也是第四次科技革命之一的候選技術,而且作為資訊技術的組成部分,卻又獨立於資訊技術,即表示它有獨到之處。

    電子科技革命的即將終結,一般認為即是指摩爾定律的終結——摩爾定律一旦無法延續,也就意味著資訊技術的整棟大樓建造都將出現停滯,那麼第三次科技革命也就正式結束了。這種聲音似乎是從十多年前就有,但這波革命始終也沒有結束。

    AI技術本質上仍然是第三次科技革命的延續,它的發展也依託於幾十年來半導體科技的進步。這些年出現了不少專門的AI晶片——而且市場參與者相眾多。當某一個類別的技術發展到出現一種專門的處理器為之服務的程度,那麼這個領域自然就不可小覷,就像當年GPU出現專門為圖形運算服務一樣。

    所以AI晶片被形容為CPU、GPU之後的第三大類電腦處理器。AI專用處理器的出現,很大程度上也是因為摩爾定律的發展進入緩慢期:電晶體的尺寸縮減速度,已經無法滿足需求,所以就必須有某種專用架構(DSA)出現,以快速提升晶片效率,也才有了專門的AI晶片。

    另一方面,摩爾定律的延緩也成為AI晶片發展的桎梏。在摩爾定律和登納德縮放比例定律(Dennard Scaling)發展的前期,電晶體製程進步為晶片帶來了相當大的助益,那是「happy scaling down」的時代——CPU、GPU都是這個時代受益,不過Dennard Scaling早在45nm時期就失效了。

    AI晶片作為第三大類處理器,在這波發展中沒有趕上happy scaling down的好時機。與此同時,AI應用對運算力的需求越來越貪婪。今年WAIC晶片論壇圓桌討論環節,燧原科技創始人暨CEO趙立東說:「現在訓練的GPT-3模型有1750億參數,接近人腦神經元數量,我以為這是最大的模型了,要千張Nvidia的GPU卡才能做。談到AI運算力需求、模型大小的問題,說最大模型超過萬億參數,又是10倍。」

    英特爾(Intel)研究院副總裁、中國研究院院長宋繼強說:「前兩年用GPU訓練一個大規模的深度學習模型,其碳排放量相當於5台美式車整個生命週期產生的碳排量。」這也說明了AI運算力需求的貪婪,以及提供運算力的AI晶片不夠高效。

    不過作為產業的底層驅動力,半導體製造技術仍源源不斷地為AI發展提供推力。本文將討論WAIC晶片論壇上聽到,針對這個問題的一些前瞻性解決方案——有些已經實現,有些則可能有待時代驗證。

    XPU、摩爾定律和異質整合

    「電腦產業中的貝爾定律,是說能效每提高1,000倍,就會衍生出一種新的運算形態。」中科院院士劉明在論壇上說,「若每瓦功耗只能支撐1KOPS的運算,當時的這種運算形態是超算;到了智慧型手機時代,能效就提高到每瓦1TOPS;未來的智慧終端我們要達到每瓦1POPS。 這對IC提出了非常高的要求,如果依然沿著CMOS這條路去走,當然可以,但會比較艱辛。」

    針對性能和效率提升,除了尺寸微縮,半導體產業比較常見的思路是電晶體結構、晶片結構、材料等方面的最佳化,以及處理架構的革新。

    (1)AI晶片本身其實就是對處理器架構的革新,從運算架構的層面來看,針對不同的應用方向造不同架構的處理器是常規,更專用的處理器能促成效率和性能的成倍增長,而不需要依賴於電晶體尺寸的微縮。比如GPU、神經網路處理器(NPU,即AI處理器),乃至更專用的ASIC出現,都是這類思路。

    CPU、GPU、NPU、FPGA等不同類型的晶片各司其職,Intel這兩年一直在推行所謂的「XPU」策略就是用不同類型的處理器去做不同的事情,「整合起來各取所需,用組合拳會好過用一種武器去解決所有問題。」宋繼強說。Intel的晶片產品就涵蓋了幾個大類,Core CPU、Xe GPU,以及透過收購獲得的AI晶片Habana等。

    另外針對不同類型的晶片,可能還有更具體的最佳化方案。如當代CPU普遍加入AVX512指令,本質上是特別針對深度學習做加強。「專用」的不一定是處理器,也可以是處理器內的某些特定單元,甚至固定功能單元,就好像GPU中加入專用的光線追蹤單元一樣,這是當代處理器普遍都在做的一件事。

    (2)從電晶體、晶片結構層面來看,電晶體的尺寸現在仍然在縮減過程中,只不過縮減幅度相比過去變小了——而且為緩解電晶體性能的下降,需要有各種不同的技術來輔助尺寸變小。比如說在22nm節點之後,電晶體變為FinFET結構,在3nm之後,電晶體即將演變為Gate All Around FET結構。最終會演化為互補FET (CFET),其本質都是電晶體本身充分利用Z軸,來實現微縮性能的提升。

    劉明認為,「除了基礎元件的變革,IC現在的發展還是比較多元化,包括新材料的引進、元件結構革新,也包括微影技術。長期賴以微縮的基本手段,現在也在發生巨大的變化,特別是未來3D的異質整合。這些多元技術的協同發展,都為晶片整體性能提升帶來了很好的增益。」

    他並指出,「從電晶體級、到晶圓級,再到晶片堆疊、引線接合(lead bonding),精準度從毫米向奈米演進,互連密度大大提升。」從晶圓/裸晶的層面來看,則是眾所周知的朝more than moore’s law這樣的路線發展,比如把兩片裸晶疊起來。現在很熱門的chiplet技術就是比較典型的並不依賴於傳統電晶體尺寸微縮,來彈性擴展性能的方案。

    台積電和Intel這兩年都在大推將不同類型的裸晶,異質整合的技術。2.5D封裝方案典型如台積電的CoWoS,Intel的EMIB,而在3D堆疊上,Intel的Core LakeField晶片就是用3D Foveros方案,將不同的裸晶疊在一起,甚至可以實現兩片運算裸晶的堆疊、互連。

    之前的文章也提到過AMD剛發佈的3D V-Cache,將CPU的L3 cache裸晶疊在運算裸晶上方,將處理器的L3 cache大小增大至192MB,對儲存敏感延遲應用的性能提升。相比Intel,台積電這項技術的獨特之處在於裸晶間是以混合接合(hybrid bonding)的方式互連,而不是micro-bump,做到更小的打線間距,以及晶片之間數十倍通訊性能和效率提升。

    這些方案也不直接依賴傳統的電晶體微縮方案。這裡實際上還有一個方面,即新材料的導入專家們沒有在論壇上多說,本文也略過不談。

    1,000倍的性能提升

    劉明談到,當電晶體微縮的空間沒有那麼大的時候,產業界傾向於採用新的策略來評價技術——「PPACt」——即Powe r(功耗)、Performance (性能)、Cost/Area-Time (成本/面積-時間)。t指的具體是time-to-market,理論上應該也屬於成本的一部分。

    電晶體微縮方案失效以後,「多元化的技術變革,依然會讓IC性能得到進一步的提升。」劉明說,「根據預測,這些技術即使不再做尺寸微縮,也會讓IC的晶片性能做到500~1,000倍的提升,到2035年實現Zetta Flops的系統性能水準。且超算的發展還可以一如既往地前進;單裸晶儲存容量變得越來越大,IC依然會為產業發展提供基礎。」

    500~1,000倍的預測來自DARPA,感覺有些過於樂觀。因為其中的不少技術存在比較大的邊際遞減效應,而且有更實際的工程問題待解決,比如運算裸晶疊層的散熱問題——即便業界對於這類工程問題的探討也始終在持續。

    不過1,000倍的性能提升,的確說明摩爾定律的終結並不能代表第三次科技革命的終結,而且還有相當大的發展空間。尤其本文談的主要是AI晶片,而不是更具通用性的CPU。

    矽光、記憶體內運算和神經型態運算

    在非傳統發展路線上(以上內容都屬於半導體製造的常規思路),WAIC晶片論壇上宋繼強和劉明都提到了一些頗具代表性的技術方向(雖然這可能與他們自己的業務方向或研究方向有很大的關係)。這些技術可能尚未大規模推廣,或者仍在商業化的極早期。

    (1)近記憶體運算和記憶體內運算:處理器性能和效率如今面臨的瓶頸,很大程度並不在單純的運算階段,而在資料傳輸和儲存方面——這也是共識。所以提升資料的傳輸和存取效率,可能是提升整體系統性能時,一個非常靠譜的思路。

    這兩年市場上的處理器產品用「近記憶體運算」(near-memory computing)思路的,應該不在少數。所謂的近記憶體運算,就是讓儲存(如cache、memory)單元更靠近運算單元。CPU的多層cache結構(L1、L2、L3),以及電腦處理器cache、記憶體、硬碟這種多層儲存結構是常規。而「近記憶體運算」主要在於究竟有多「近」,cache記憶體有利於隱藏當代電腦架構中延遲和頻寬的局限性。

    這兩年在近記憶體運算方面比較有代表性的,一是AMD——比如前文提到3D V-cache增大處理器的cache容量,還有其GPU不僅在裸晶內導入了Infinity Cache這種類似L3 cache的結構,也更早應用了HBM2記憶體方案。這些實踐都表明,儲存方面的革新的確能帶來性能的提升。

    另外一個例子則是Graphcore的IPU處理器:IPU的特點之一是在裸晶內堆了相當多的cache資源,cache容量遠大於一般的GPU和AI晶片——也就避免了頻繁的訪問外部儲存資源的操作,極大提升頻寬、降低延遲和功耗。

    近記憶體運算的本質仍然是馮紐曼架構(Von Neumann architecture)的延續。「在做處理的過程中,多層級的儲存結構,資料的搬運不僅僅在處理和儲存之間,還在不同的儲存層級之間。這樣頻繁的資料搬運帶來了頻寬延遲、功耗的問題。也就有了我們經常說的運算體系內的儲存牆的問題。」劉明說。

    構建非馮(non-von Neumann)架構,把傳統的、以運算為中心的馮氏架構,變換一種新的運算範式。把部分運算力下推到儲存。這便是記憶體內運算(in-memory computing)的概念。

    記憶體內運算的就現在看來還是比較新,也有稱其為「存算一體」。通常理解為在記憶體中嵌入演算法,儲存單元本身就有運算能力,理論上消除資料存取的延遲和功耗。記憶體內運算這個概念似乎這在資料爆炸時代格外醒目,畢竟可極大減少海量資料的移動操作。

    其實記憶體內運算的概念都還沒有非常明確的定義。現階段它可能的內涵至少涉及到在儲記憶體內部,部分執行資料處理工作;主要應用於神經網路(因為非常契合神經網路的工作方式),以及這類晶片具體的工作方法上,可能更傾向於神經型態運算(neuromorphic computing)。

    對於AI晶片而言,記憶體內運算的確是很好的思路。一般的GPU和AI晶片執行AI負載時,有比較頻繁的資料存取操作,這對性能和功耗都有影響。不過記憶體內運算的具體實施方案,在市場上也是五花八門,早期比較具有代表性的Mythic導入了一種矩陣乘的儲存架構,用40nm嵌入式NOR,在儲記憶體內部執行運算,不過替換掉了數位週邊電路,改用類比的方式。在陣列內部進行模擬運算。這家公司之前得到過美國國防部的資金支援。

    劉明列舉了近記憶體運算和記憶體內運算兩種方案的例子。其中,近記憶體運算的這個方案應該和AMD的3D V-cache比較類似,把儲存裸晶和運算裸晶疊起來。

    劉明指出,「這是我們最近的一個工作,採用hybrid bonding的技術,與矽通孔(TSV)做比較,hybrid bonding功耗是0.8pJ/bit,而TSV是4pJ/bit。延遲方面,hybrid bonding只有0.5ns,而TSV方案是3ns。」台積電在3D堆疊方面的領先優勢其實也體現在hybrid bonding混合鍵合上,前文也提到了它具備更高的互連密度和效率。

    另外這套方案還將DRAM刷新頻率提高了一倍,從64ms提高至128ms,以降低功耗。「應對刷新率變慢出現拖尾bit,我們引入RRAM TCAM索引這些tail bits」劉明說。

    記憶體內運算方面,「傳統運算是用布林邏輯,一個4位元的乘法需要用到幾百個電晶體,這個過程中需要進行資料來回的移動。記憶體內運算是利用單一元件的歐姆定律來完成一次乘法,然後利用基爾霍夫定律完成列的累加。」劉明表示,「這對於今天深度學習的矩陣乘非常有利。它是原位的運算和儲存,沒有資料搬運。」這是記憶體內運算的常規思路。

    「無論是基於SRAM,還是基於新型記憶體,相比近記憶體運算都有明顯優勢,」劉明認為。下圖是記憶體內運算和近記憶體運算,精準度、能效等方面的對比,記憶體內運算架構對於低精準度運算有價值。

    下圖則總結了業內主要的一些記憶體內運算研究,在精確度和能效方面的對應關係。劉明表示,「需要高精確度、高運算力的情況下,近記憶體運算目前還是有優勢。不過記憶體內運算是更新的技術,這幾年的進步也非常快。」

    去年阿里達摩院發佈2020年十大科技趨勢中,有一個就是存算一體突破AI算力瓶頸。不過記憶體內運算面臨的商用挑戰也一點都不小。記憶體內運算的通常思路都是類比電路的運算方式,這對記憶體、運算單元設計都需要做工程上的考量。與此同時這樣的晶片究竟由誰來造也是個問題:是記憶體廠商,還是數文書處理器廠商?(三星推過記憶體內運算晶片,三星、Intel垂直整合型企業似乎很適合做記憶體內運算…)

    (2)神經型態運算:神經型態運算和記憶體內運算一樣,也是新興技術的熱門話題,這項技術有時也叫作compute in memory,可以認為它是記憶體內運算的某種發展方向。神經型態和一般神經網路AI晶片的差異是,這種結構更偏「類人腦」。

    進行神經型態研究的企業現在也逐漸變得多起來,劉明也提到了AI晶片「最終的理想是在結構層次模仿腦,元件層次逼近腦,功能層次超越人腦」的「類腦運算」。Intel是比較早關注神經型態運算研究的企業之一。

    傳說中的Intel Loihi就是比較典型存算一體的架構,「這片裸晶裡面包含128個小核心,每個核心用於模擬1,024個神經元的運算結構。」宋繼強說,「這樣一塊晶片大概可以類比13萬個神經元。我們做到的是把768個晶片再連起來,構成接近1億神經元的系統,讓學術界的夥伴去試用。」

    「它和深度學習加速器相比,沒有任何浮點運算——就像人腦裡面沒有乘加器。所以其學習和訓練方法是採用一種名為spike neutral network的路線,功耗很低,也可以訓練出做視覺辨識、語言辨識和其他種類的模型。」宋繼強認為,不採用同步時脈,「刺激的時候就是一個非同步電動勢,只有工作部分耗電,功耗是現在深度學習加速晶片的千分之一。」

    「而且未來我們可以對不同區域做劃分,比如這兒是視覺區、那兒是語言區、那兒是觸覺區,同時進行多模態訓練,互相之間產生關聯。這是現在的深度學習模型無法比擬的。」宋繼強說。這種神經型態運算晶片,似乎也是Intel在XPU方向上探索不同架構運算的方向之一。

    (2)微型化矽光:這個技術方向可能在層級上更偏高了一些,不再晶片架構層級,不過仍然值得一提。去年Intel在Labs Day上特別談到了自己在矽光(Silicon Photonics)的一些技術進展。其實矽光技術在連接資料中心的交換機方面,已有應用了,發出資料時,連接埠處會有個收發器把電訊號轉為光訊號,透過光纖來傳輸資料,另一端光訊號再轉為電訊號。不過傳統的光收發器成本都比較高,內部元件數量大,尺寸也就比較大。

    Intel在整合化的矽光(IIIV族monolithic的光學整合化方案)方面應該是商業化走在比較前列的,就是把光和電子相關的組成部分高度整合到晶片上,用IC製造技術。未來的光通訊不只是資料中心機架到機架之間,也可以下沉到板級——就跟現在傳統的電I/O一樣。電互連的主要問題是功耗太大,也就是所謂的I/O功耗牆,這是這類微型化矽光元件存在的重要價值。

    這其中存在的技術挑戰還是比較多,如做資料的光訊號調變的調變器調變器,據說Intel的技術使其實現了1,000倍的縮小;還有在接收端需要有個探測器(detector)轉換光訊號,用所謂的全矽微環(micro-ring)結構,實現矽對光的檢測能力;波分複用技術實現頻寬倍增,以及把矽光和CMOS晶片做整合等。

    Intel認為,把矽光模組與運算資源整合,就能打破必須帶更多I/O接腳做更大尺寸處理器的這種趨勢。矽光能夠實現的是更低的功耗、更大的頻寬、更小的接腳數量和尺寸。在跨處理器、跨伺服器節點之間的資料互動上,這類技術還是頗具前景,Intel此前說目標是實現每根光纖1Tbps的速率,並且能效在1pJ/bit,最遠距離1km,這在非本地傳輸上是很理想的數字。

    還有軟體…

    除了AI晶片本身,從整個生態的角度,包括AI感知到運算的整個鏈條上的其他組成部分,都有促成性能和效率提升的餘地。比如這兩年Nvidia從軟體層面,針對AI運算的中間層、庫做了大量最佳化。相同的底層硬體,透過軟體最佳化就能實現幾倍的性能提升。

    宋繼強說,「我們發現軟體最佳化與否,在同一個硬體上可以達到百倍的性能差距。」這其中的餘量還是比較大。

    在AI開發生態上,雖然Nvidia是最具發言權的;但從戰略角度來看,像Intel這種研發CPU、GPU、FPGA、ASIC,甚至還有神經型態運算處理器的企業而言,不同處理器統一開發生態可能更具前瞻性。Intel有個稱oneAPI的軟體平台,用一套API實現不同硬體性能埠的對接。這類策略對廠商的軟體框架構建能力是非常大的考驗——也極大程度關乎底層晶片的執行效率。

    在摩爾定律放緩、電晶體尺寸微縮變慢甚至不縮小的前提下,處理器架構革新、異質整合與2.5D/3D封裝技術依然可以達成1,000倍的性能提升;而一些新的技術方向,包括近記憶體運算、記憶體內運算和微型矽光,能夠在資料訪存、傳輸方面產生新的價值;神經型態運算這種類腦運算方式,是實現AI運算的目標;軟體層面的最佳化,也能夠帶動AI性能的成倍增長。所以即便摩爾定律嚴重放緩,AI晶片的性能、效率提升在上面提到的這麼多方案加持下,終將在未來很長一段時間內持續飛越。這第三(四)次科技革命恐怕還很難停歇。

    資料來源:https://www.eettaiwan.com/20210726nt61-ai-computing/?fbclid=IwAR3BaorLm9rL2s1ff6cNkL6Z7dK8Q96XulQPzuMQ_Yky9H_EmLsBpjBOsWg

  • 記憶體cpu關係 在 Wannasinging老王 Youtube 的最佳貼文

    2021-09-20 19:00:06

    這個系列到底要不要feat. 八毛 Fick 鳥屎啊XDDDD

    =======================================
    粉絲團: https://www.facebook.com/WannaSinging
    IG:https://www.instagram.com/wannasinging_retry/
    噗浪: http://www.plurk.com/Beinghappy
    遊戲實況都在: https://FB.gg/wannasinging
    =======================================
    實況設備
    CPU: i7-9700K
    主機板: 華碩 ROG STRIX Z390-F GAMING
    記憶體: 芝奇G.SKILL 幻光戟 8G*2
    顯示卡: 微星 RTX 2070 VENTUS
    POWER: CM(酷碼)MWE GOLD 650W 80+金
    鍵盤: HyperX Alloy FPS 青軸
    耳機: HyperX Revolver S
    麥克風: 電容麥克風 RODE NT2-A 偶爾用動圈麥克風 Sennheiser E935
    錄音介面: Roland Rubix24
    人聲效果器:BOSS VE-8

  • 記憶體cpu關係 在 DingDing Youtube 的最佳解答

    2021-06-23 21:20:00

    Hello, 我是DingDing!
    喜歡可以點個讚,欣賞我的不妨點個訂閱~
    ══════════════════════════════════
    ➡️合作邀約請寄至:d9300502@gmail.com
    ══════════════════════════════════
    ➡️丁丁的IG:dding1003
    ══════════════════════════════════

    【我的電腦】
    處理器:AMD Ryzen 7-5800X
    顯示卡: AMD Radeon RX 5700XT
    冷卻(水冷): 恩傑 NZXT X53 RGB
    主機板:映泰 BIOSTAR B550M-SILVER
    機殼:火鳥 BitFenix 黎明至尊
    記憶體:Kingston HyperX DDR4-3466 8G*2
    (硬碟、電源供應部分就自行選購囉~)
    ➡️整台價格大約:55,000-60,000 (因顯卡時價波動關係,可能會更高。)

    💕主機硬體各廠商相關連結:
    【機殼】BitFenix 火鳥科技 黎明至尊
    ➡️銷售連結:https://reurl.cc/pgr8jZ

    【主機板】BIOSTAR 映泰 B550M-SILVER
    ➡️銷售連結:https://momo.dm/umVquy
    ➡️產品連結:https://www.biostar.com.tw/app/tw/mb/...

    【水冷】NZXT 恩傑 X53 RGB
    ➡️銷售連結:https://shopee.tw/nzxt.tw?smtt=0.0.9

    【CPU】AMD 史上最夯處理器 #Ryzen9系列
    遊戲創作、影音剪輯特效專用!想當個創作者的好幫手💪🏻

    🔍AMD官方LINE:https://reurl.cc/MZ5G6m
    任何一手資訊活動可以在裡面知道!
    💕活動資格:活動期間內購買AMD Ryzen CPU + Radeon 顯示卡的合格系統,即可獲得”DIRT5 大地長征5遊戲序號乙套”
    💕活動時間:2021/4/20 PM10:00 ~ 2021/7/17
    - 遊戲序號兌換時間:2021/4/20 PM10:00 ~ 2021/8/21 (數量有限 送完為止)
    ➡️遊戲兌換網頁:www.amdevents.com.tw

  • 記憶體cpu關係 在 ValorGears Youtube 的精選貼文

    2021-02-04 18:15:09

    Kaspersky 防毒優惠 https://CBSOS.COM.HK
    9折優惠碼(VAN90)
    Surfshark VPN https://surfshark.deals/valorgears
    83% off 再加三個月優惠代碼 (ValorGears)
    Anlander 網店 : https://anlander.com/AK-RA39 ($600 減 $50 優惠)
    飛馬砌機 : https://shop.pegasus.hk
    砌機減 $220 優惠代碼(vg)
    歡迎各公司提供優惠

    00:00 我要組裝HTPC,跟一般電腦不同?
    01:57 認識電腦主要硬件
    03:44 基本以及額外組裝工具
    06:32 動手組裝 - 主機板面積、作用、注意事項
    11:22 動手組裝 - CPU 品牌、種類、Intel 安裝方法
    14:08 動手組裝 - M.2 型 SSD 介紹、安裝及注意
    17:43 動手組裝 - 記憶體需知、安裝及注意
    19:43 動手組裝 - 散熱器種類、安裝注意事項及時間
    24:06 散熱風扇安裝需知
    24:45 扭緊螺絲要有技巧 - 1
    26:11 散熱器與記憶體的關係
    27:33 理解機箱結構
    31:14 主機板安裝到機箱前要留意的事項
    31:47 主機板 與機箱銅柱的注意事項
    32:25 動手組裝 - I/O 面板的重要性,上螺絲時也要留意
    33:35 動手組裝 - 找尋合適的主機板螺絲
    34:15 扭緊螺絲要有技巧 - 2
    35:24 動手組裝 - 新手苦手的機箱接線大作戰
    35:54 動手組裝 - 機箱電源開關 Front Panel 分辨及安裝技巧
    37:46 理線的重要性
    38:28 動手組裝 - 機箱 USB 3.0 的接駁
    39:13 動手組裝 - 前置音效的接駁
    40:01 安裝接線的吐糟
    40:34 電源/火牛簡單介紹
    41:21 模組線應用
    41:44 動手組裝 - 電源/火牛安裝前注意事項
    42:31 動手組裝 - 電源/火牛排線接駁 - 主機板 24Pin & 8Pin
    42:58 如何確定 24Pin & 8Pin 已接駁好
    43:33 購買電源/火牛跟機箱時留意的小細節
    43:45 動手組裝 - 電源/火牛組裝的螺絲注意
    44:14 扭緊螺絲要有技巧 - 3
    44:44 動手組裝 - 前置電源/火牛接駁電源線要注意
    45:23 完成與未完成之間
    45:32 顯示卡以及特殊接駁方式
    46:52 顯示卡跟機箱的密切關係
    47:09 ITX 主機使用的顯示卡見解
    47:59 安裝顯示卡前的動作
    48:24 一般安裝顯示卡時要注意的事項
    49:25 動手組裝 - 顯示卡安裝要考慮的問題
    49:58 需要額外供電的顯示卡電源接駁處理
    50:27 令用家可最後安裝風冷或水冷的設計
    51:06 動手組裝 - 電源/火牛排線接駁 - 顯示卡 6Pin & 8Pin
    51:51 組裝完成後的程序
    52:53 新手常犯毛病 - 電腦沒成功啟動
    53:15 新手常犯毛病 - 電腦啟動但沒畫面
    54:04 動手組裝 - 開機確定硬件
    54:32 為記憶體還原時脈 (XMP)
    55:00 在 BIOS 檢查硬件、讀取硬碟及儲存
    57:03 總結、訂閱及讚好

    讚好 FB 專頁:https://fb.me/ValorGears
    加入 FB 群組:https://www.facebook.com/groups/VGPC9uper/
    全新 VG 網站:https://www.valorgears.com
    ==============救救小VanVan課金贊助熱線==============
    成為Youtube 會員 : https://www.youtube.com/channel/UC9jW6WpsAPgh-9HqDTvkFzg/join
    Patreon 月費贊助 : https://www.patreon.com/valorgears
    Paypal 贊助 : https://www.paypal.me/VansonChan
    轉數快 贊助 : 5576129
    Pay Me 贊助 : https://bit.ly/39dCpEF

    #砌機 #電腦 #教學