Verilog -1995 module adder(sum, co, a, b, ci); output reg [31:0] sum; output reg co; input wire [31:0] a, b; input wire ci;. Verilog-2001 ...
確定! 回上一頁