irun就是cadence verilog/vhdl最新的仿真命令。 最老的是ncvlog/ncvhdl、ncelab、ncsim三步式; ncverilog和irun类似,都可以理解是脚本命令;真实 ...
確定! 回上一頁