Library ieee; use ieee_std_logic_1164.all; entity mux4to1 is port( A,B,C,D: in std_logic; S: in std_logic_vector(1 downto 0); O: out std_logic); end ...
確定! 回上一頁