[爆卦]cpu五大單元是什麼?優點缺點精華區懶人包

雖然這篇cpu五大單元鄉民發文沒有被收入到精華區:在cpu五大單元這個話題中,我們另外找到其它相關的精選爆讚文章

在 cpu五大單元產品中有8篇Facebook貼文,粉絲數超過3,992的網紅台灣物聯網實驗室 IOT Labs,也在其Facebook貼文中提到, 摩爾定律放緩 靠啥提升AI晶片運算力? 作者 : 黃燁鋒,EE Times China 2021-07-26 對於電子科技革命的即將終結的說法,一般認為即是指摩爾定律的終結——摩爾定律一旦無法延續,也就意味著資訊技術的整棟大樓建造都將出現停滯,那麼第三次科技革命也就正式結束了。這種聲音似乎...

  • cpu五大單元 在 台灣物聯網實驗室 IOT Labs Facebook 的最讚貼文

    2021-07-27 11:56:34
    有 1 人按讚

    摩爾定律放緩 靠啥提升AI晶片運算力?

    作者 : 黃燁鋒,EE Times China
    2021-07-26

    對於電子科技革命的即將終結的說法,一般認為即是指摩爾定律的終結——摩爾定律一旦無法延續,也就意味著資訊技術的整棟大樓建造都將出現停滯,那麼第三次科技革命也就正式結束了。這種聲音似乎是從十多年前就有的,但這波革命始終也沒有結束。AI技術本質上仍然是第三次科技革命的延續……

    人工智慧(AI)的技術發展,被很多人形容為第四次科技革命。前三次科技革命,分別是蒸汽、電氣、資訊技術(電子科技)革命。彷彿這“第四次”有很多種說辭,比如有人說第四次科技革命是生物技術革命,還有人說是量子技術革命。但既然AI也是第四次科技革命之一的候選技術,而且作為資訊技術的組成部分,卻又獨立於資訊技術,即表示它有獨到之處。

    電子科技革命的即將終結,一般認為即是指摩爾定律的終結——摩爾定律一旦無法延續,也就意味著資訊技術的整棟大樓建造都將出現停滯,那麼第三次科技革命也就正式結束了。這種聲音似乎是從十多年前就有,但這波革命始終也沒有結束。

    AI技術本質上仍然是第三次科技革命的延續,它的發展也依託於幾十年來半導體科技的進步。這些年出現了不少專門的AI晶片——而且市場參與者相眾多。當某一個類別的技術發展到出現一種專門的處理器為之服務的程度,那麼這個領域自然就不可小覷,就像當年GPU出現專門為圖形運算服務一樣。

    所以AI晶片被形容為CPU、GPU之後的第三大類電腦處理器。AI專用處理器的出現,很大程度上也是因為摩爾定律的發展進入緩慢期:電晶體的尺寸縮減速度,已經無法滿足需求,所以就必須有某種專用架構(DSA)出現,以快速提升晶片效率,也才有了專門的AI晶片。

    另一方面,摩爾定律的延緩也成為AI晶片發展的桎梏。在摩爾定律和登納德縮放比例定律(Dennard Scaling)發展的前期,電晶體製程進步為晶片帶來了相當大的助益,那是「happy scaling down」的時代——CPU、GPU都是這個時代受益,不過Dennard Scaling早在45nm時期就失效了。

    AI晶片作為第三大類處理器,在這波發展中沒有趕上happy scaling down的好時機。與此同時,AI應用對運算力的需求越來越貪婪。今年WAIC晶片論壇圓桌討論環節,燧原科技創始人暨CEO趙立東說:「現在訓練的GPT-3模型有1750億參數,接近人腦神經元數量,我以為這是最大的模型了,要千張Nvidia的GPU卡才能做。談到AI運算力需求、模型大小的問題,說最大模型超過萬億參數,又是10倍。」

    英特爾(Intel)研究院副總裁、中國研究院院長宋繼強說:「前兩年用GPU訓練一個大規模的深度學習模型,其碳排放量相當於5台美式車整個生命週期產生的碳排量。」這也說明了AI運算力需求的貪婪,以及提供運算力的AI晶片不夠高效。

    不過作為產業的底層驅動力,半導體製造技術仍源源不斷地為AI發展提供推力。本文將討論WAIC晶片論壇上聽到,針對這個問題的一些前瞻性解決方案——有些已經實現,有些則可能有待時代驗證。

    XPU、摩爾定律和異質整合

    「電腦產業中的貝爾定律,是說能效每提高1,000倍,就會衍生出一種新的運算形態。」中科院院士劉明在論壇上說,「若每瓦功耗只能支撐1KOPS的運算,當時的這種運算形態是超算;到了智慧型手機時代,能效就提高到每瓦1TOPS;未來的智慧終端我們要達到每瓦1POPS。 這對IC提出了非常高的要求,如果依然沿著CMOS這條路去走,當然可以,但會比較艱辛。」

    針對性能和效率提升,除了尺寸微縮,半導體產業比較常見的思路是電晶體結構、晶片結構、材料等方面的最佳化,以及處理架構的革新。

    (1)AI晶片本身其實就是對處理器架構的革新,從運算架構的層面來看,針對不同的應用方向造不同架構的處理器是常規,更專用的處理器能促成效率和性能的成倍增長,而不需要依賴於電晶體尺寸的微縮。比如GPU、神經網路處理器(NPU,即AI處理器),乃至更專用的ASIC出現,都是這類思路。

    CPU、GPU、NPU、FPGA等不同類型的晶片各司其職,Intel這兩年一直在推行所謂的「XPU」策略就是用不同類型的處理器去做不同的事情,「整合起來各取所需,用組合拳會好過用一種武器去解決所有問題。」宋繼強說。Intel的晶片產品就涵蓋了幾個大類,Core CPU、Xe GPU,以及透過收購獲得的AI晶片Habana等。

    另外針對不同類型的晶片,可能還有更具體的最佳化方案。如當代CPU普遍加入AVX512指令,本質上是特別針對深度學習做加強。「專用」的不一定是處理器,也可以是處理器內的某些特定單元,甚至固定功能單元,就好像GPU中加入專用的光線追蹤單元一樣,這是當代處理器普遍都在做的一件事。

    (2)從電晶體、晶片結構層面來看,電晶體的尺寸現在仍然在縮減過程中,只不過縮減幅度相比過去變小了——而且為緩解電晶體性能的下降,需要有各種不同的技術來輔助尺寸變小。比如說在22nm節點之後,電晶體變為FinFET結構,在3nm之後,電晶體即將演變為Gate All Around FET結構。最終會演化為互補FET (CFET),其本質都是電晶體本身充分利用Z軸,來實現微縮性能的提升。

    劉明認為,「除了基礎元件的變革,IC現在的發展還是比較多元化,包括新材料的引進、元件結構革新,也包括微影技術。長期賴以微縮的基本手段,現在也在發生巨大的變化,特別是未來3D的異質整合。這些多元技術的協同發展,都為晶片整體性能提升帶來了很好的增益。」

    他並指出,「從電晶體級、到晶圓級,再到晶片堆疊、引線接合(lead bonding),精準度從毫米向奈米演進,互連密度大大提升。」從晶圓/裸晶的層面來看,則是眾所周知的朝more than moore’s law這樣的路線發展,比如把兩片裸晶疊起來。現在很熱門的chiplet技術就是比較典型的並不依賴於傳統電晶體尺寸微縮,來彈性擴展性能的方案。

    台積電和Intel這兩年都在大推將不同類型的裸晶,異質整合的技術。2.5D封裝方案典型如台積電的CoWoS,Intel的EMIB,而在3D堆疊上,Intel的Core LakeField晶片就是用3D Foveros方案,將不同的裸晶疊在一起,甚至可以實現兩片運算裸晶的堆疊、互連。

    之前的文章也提到過AMD剛發佈的3D V-Cache,將CPU的L3 cache裸晶疊在運算裸晶上方,將處理器的L3 cache大小增大至192MB,對儲存敏感延遲應用的性能提升。相比Intel,台積電這項技術的獨特之處在於裸晶間是以混合接合(hybrid bonding)的方式互連,而不是micro-bump,做到更小的打線間距,以及晶片之間數十倍通訊性能和效率提升。

    這些方案也不直接依賴傳統的電晶體微縮方案。這裡實際上還有一個方面,即新材料的導入專家們沒有在論壇上多說,本文也略過不談。

    1,000倍的性能提升

    劉明談到,當電晶體微縮的空間沒有那麼大的時候,產業界傾向於採用新的策略來評價技術——「PPACt」——即Powe r(功耗)、Performance (性能)、Cost/Area-Time (成本/面積-時間)。t指的具體是time-to-market,理論上應該也屬於成本的一部分。

    電晶體微縮方案失效以後,「多元化的技術變革,依然會讓IC性能得到進一步的提升。」劉明說,「根據預測,這些技術即使不再做尺寸微縮,也會讓IC的晶片性能做到500~1,000倍的提升,到2035年實現Zetta Flops的系統性能水準。且超算的發展還可以一如既往地前進;單裸晶儲存容量變得越來越大,IC依然會為產業發展提供基礎。」

    500~1,000倍的預測來自DARPA,感覺有些過於樂觀。因為其中的不少技術存在比較大的邊際遞減效應,而且有更實際的工程問題待解決,比如運算裸晶疊層的散熱問題——即便業界對於這類工程問題的探討也始終在持續。

    不過1,000倍的性能提升,的確說明摩爾定律的終結並不能代表第三次科技革命的終結,而且還有相當大的發展空間。尤其本文談的主要是AI晶片,而不是更具通用性的CPU。

    矽光、記憶體內運算和神經型態運算

    在非傳統發展路線上(以上內容都屬於半導體製造的常規思路),WAIC晶片論壇上宋繼強和劉明都提到了一些頗具代表性的技術方向(雖然這可能與他們自己的業務方向或研究方向有很大的關係)。這些技術可能尚未大規模推廣,或者仍在商業化的極早期。

    (1)近記憶體運算和記憶體內運算:處理器性能和效率如今面臨的瓶頸,很大程度並不在單純的運算階段,而在資料傳輸和儲存方面——這也是共識。所以提升資料的傳輸和存取效率,可能是提升整體系統性能時,一個非常靠譜的思路。

    這兩年市場上的處理器產品用「近記憶體運算」(near-memory computing)思路的,應該不在少數。所謂的近記憶體運算,就是讓儲存(如cache、memory)單元更靠近運算單元。CPU的多層cache結構(L1、L2、L3),以及電腦處理器cache、記憶體、硬碟這種多層儲存結構是常規。而「近記憶體運算」主要在於究竟有多「近」,cache記憶體有利於隱藏當代電腦架構中延遲和頻寬的局限性。

    這兩年在近記憶體運算方面比較有代表性的,一是AMD——比如前文提到3D V-cache增大處理器的cache容量,還有其GPU不僅在裸晶內導入了Infinity Cache這種類似L3 cache的結構,也更早應用了HBM2記憶體方案。這些實踐都表明,儲存方面的革新的確能帶來性能的提升。

    另外一個例子則是Graphcore的IPU處理器:IPU的特點之一是在裸晶內堆了相當多的cache資源,cache容量遠大於一般的GPU和AI晶片——也就避免了頻繁的訪問外部儲存資源的操作,極大提升頻寬、降低延遲和功耗。

    近記憶體運算的本質仍然是馮紐曼架構(Von Neumann architecture)的延續。「在做處理的過程中,多層級的儲存結構,資料的搬運不僅僅在處理和儲存之間,還在不同的儲存層級之間。這樣頻繁的資料搬運帶來了頻寬延遲、功耗的問題。也就有了我們經常說的運算體系內的儲存牆的問題。」劉明說。

    構建非馮(non-von Neumann)架構,把傳統的、以運算為中心的馮氏架構,變換一種新的運算範式。把部分運算力下推到儲存。這便是記憶體內運算(in-memory computing)的概念。

    記憶體內運算的就現在看來還是比較新,也有稱其為「存算一體」。通常理解為在記憶體中嵌入演算法,儲存單元本身就有運算能力,理論上消除資料存取的延遲和功耗。記憶體內運算這個概念似乎這在資料爆炸時代格外醒目,畢竟可極大減少海量資料的移動操作。

    其實記憶體內運算的概念都還沒有非常明確的定義。現階段它可能的內涵至少涉及到在儲記憶體內部,部分執行資料處理工作;主要應用於神經網路(因為非常契合神經網路的工作方式),以及這類晶片具體的工作方法上,可能更傾向於神經型態運算(neuromorphic computing)。

    對於AI晶片而言,記憶體內運算的確是很好的思路。一般的GPU和AI晶片執行AI負載時,有比較頻繁的資料存取操作,這對性能和功耗都有影響。不過記憶體內運算的具體實施方案,在市場上也是五花八門,早期比較具有代表性的Mythic導入了一種矩陣乘的儲存架構,用40nm嵌入式NOR,在儲記憶體內部執行運算,不過替換掉了數位週邊電路,改用類比的方式。在陣列內部進行模擬運算。這家公司之前得到過美國國防部的資金支援。

    劉明列舉了近記憶體運算和記憶體內運算兩種方案的例子。其中,近記憶體運算的這個方案應該和AMD的3D V-cache比較類似,把儲存裸晶和運算裸晶疊起來。

    劉明指出,「這是我們最近的一個工作,採用hybrid bonding的技術,與矽通孔(TSV)做比較,hybrid bonding功耗是0.8pJ/bit,而TSV是4pJ/bit。延遲方面,hybrid bonding只有0.5ns,而TSV方案是3ns。」台積電在3D堆疊方面的領先優勢其實也體現在hybrid bonding混合鍵合上,前文也提到了它具備更高的互連密度和效率。

    另外這套方案還將DRAM刷新頻率提高了一倍,從64ms提高至128ms,以降低功耗。「應對刷新率變慢出現拖尾bit,我們引入RRAM TCAM索引這些tail bits」劉明說。

    記憶體內運算方面,「傳統運算是用布林邏輯,一個4位元的乘法需要用到幾百個電晶體,這個過程中需要進行資料來回的移動。記憶體內運算是利用單一元件的歐姆定律來完成一次乘法,然後利用基爾霍夫定律完成列的累加。」劉明表示,「這對於今天深度學習的矩陣乘非常有利。它是原位的運算和儲存,沒有資料搬運。」這是記憶體內運算的常規思路。

    「無論是基於SRAM,還是基於新型記憶體,相比近記憶體運算都有明顯優勢,」劉明認為。下圖是記憶體內運算和近記憶體運算,精準度、能效等方面的對比,記憶體內運算架構對於低精準度運算有價值。

    下圖則總結了業內主要的一些記憶體內運算研究,在精確度和能效方面的對應關係。劉明表示,「需要高精確度、高運算力的情況下,近記憶體運算目前還是有優勢。不過記憶體內運算是更新的技術,這幾年的進步也非常快。」

    去年阿里達摩院發佈2020年十大科技趨勢中,有一個就是存算一體突破AI算力瓶頸。不過記憶體內運算面臨的商用挑戰也一點都不小。記憶體內運算的通常思路都是類比電路的運算方式,這對記憶體、運算單元設計都需要做工程上的考量。與此同時這樣的晶片究竟由誰來造也是個問題:是記憶體廠商,還是數文書處理器廠商?(三星推過記憶體內運算晶片,三星、Intel垂直整合型企業似乎很適合做記憶體內運算…)

    (2)神經型態運算:神經型態運算和記憶體內運算一樣,也是新興技術的熱門話題,這項技術有時也叫作compute in memory,可以認為它是記憶體內運算的某種發展方向。神經型態和一般神經網路AI晶片的差異是,這種結構更偏「類人腦」。

    進行神經型態研究的企業現在也逐漸變得多起來,劉明也提到了AI晶片「最終的理想是在結構層次模仿腦,元件層次逼近腦,功能層次超越人腦」的「類腦運算」。Intel是比較早關注神經型態運算研究的企業之一。

    傳說中的Intel Loihi就是比較典型存算一體的架構,「這片裸晶裡面包含128個小核心,每個核心用於模擬1,024個神經元的運算結構。」宋繼強說,「這樣一塊晶片大概可以類比13萬個神經元。我們做到的是把768個晶片再連起來,構成接近1億神經元的系統,讓學術界的夥伴去試用。」

    「它和深度學習加速器相比,沒有任何浮點運算——就像人腦裡面沒有乘加器。所以其學習和訓練方法是採用一種名為spike neutral network的路線,功耗很低,也可以訓練出做視覺辨識、語言辨識和其他種類的模型。」宋繼強認為,不採用同步時脈,「刺激的時候就是一個非同步電動勢,只有工作部分耗電,功耗是現在深度學習加速晶片的千分之一。」

    「而且未來我們可以對不同區域做劃分,比如這兒是視覺區、那兒是語言區、那兒是觸覺區,同時進行多模態訓練,互相之間產生關聯。這是現在的深度學習模型無法比擬的。」宋繼強說。這種神經型態運算晶片,似乎也是Intel在XPU方向上探索不同架構運算的方向之一。

    (2)微型化矽光:這個技術方向可能在層級上更偏高了一些,不再晶片架構層級,不過仍然值得一提。去年Intel在Labs Day上特別談到了自己在矽光(Silicon Photonics)的一些技術進展。其實矽光技術在連接資料中心的交換機方面,已有應用了,發出資料時,連接埠處會有個收發器把電訊號轉為光訊號,透過光纖來傳輸資料,另一端光訊號再轉為電訊號。不過傳統的光收發器成本都比較高,內部元件數量大,尺寸也就比較大。

    Intel在整合化的矽光(IIIV族monolithic的光學整合化方案)方面應該是商業化走在比較前列的,就是把光和電子相關的組成部分高度整合到晶片上,用IC製造技術。未來的光通訊不只是資料中心機架到機架之間,也可以下沉到板級——就跟現在傳統的電I/O一樣。電互連的主要問題是功耗太大,也就是所謂的I/O功耗牆,這是這類微型化矽光元件存在的重要價值。

    這其中存在的技術挑戰還是比較多,如做資料的光訊號調變的調變器調變器,據說Intel的技術使其實現了1,000倍的縮小;還有在接收端需要有個探測器(detector)轉換光訊號,用所謂的全矽微環(micro-ring)結構,實現矽對光的檢測能力;波分複用技術實現頻寬倍增,以及把矽光和CMOS晶片做整合等。

    Intel認為,把矽光模組與運算資源整合,就能打破必須帶更多I/O接腳做更大尺寸處理器的這種趨勢。矽光能夠實現的是更低的功耗、更大的頻寬、更小的接腳數量和尺寸。在跨處理器、跨伺服器節點之間的資料互動上,這類技術還是頗具前景,Intel此前說目標是實現每根光纖1Tbps的速率,並且能效在1pJ/bit,最遠距離1km,這在非本地傳輸上是很理想的數字。

    還有軟體…

    除了AI晶片本身,從整個生態的角度,包括AI感知到運算的整個鏈條上的其他組成部分,都有促成性能和效率提升的餘地。比如這兩年Nvidia從軟體層面,針對AI運算的中間層、庫做了大量最佳化。相同的底層硬體,透過軟體最佳化就能實現幾倍的性能提升。

    宋繼強說,「我們發現軟體最佳化與否,在同一個硬體上可以達到百倍的性能差距。」這其中的餘量還是比較大。

    在AI開發生態上,雖然Nvidia是最具發言權的;但從戰略角度來看,像Intel這種研發CPU、GPU、FPGA、ASIC,甚至還有神經型態運算處理器的企業而言,不同處理器統一開發生態可能更具前瞻性。Intel有個稱oneAPI的軟體平台,用一套API實現不同硬體性能埠的對接。這類策略對廠商的軟體框架構建能力是非常大的考驗——也極大程度關乎底層晶片的執行效率。

    在摩爾定律放緩、電晶體尺寸微縮變慢甚至不縮小的前提下,處理器架構革新、異質整合與2.5D/3D封裝技術依然可以達成1,000倍的性能提升;而一些新的技術方向,包括近記憶體運算、記憶體內運算和微型矽光,能夠在資料訪存、傳輸方面產生新的價值;神經型態運算這種類腦運算方式,是實現AI運算的目標;軟體層面的最佳化,也能夠帶動AI性能的成倍增長。所以即便摩爾定律嚴重放緩,AI晶片的性能、效率提升在上面提到的這麼多方案加持下,終將在未來很長一段時間內持續飛越。這第三(四)次科技革命恐怕還很難停歇。

    資料來源:https://www.eettaiwan.com/20210726nt61-ai-computing/?fbclid=IwAR3BaorLm9rL2s1ff6cNkL6Z7dK8Q96XulQPzuMQ_Yky9H_EmLsBpjBOsWg

  • cpu五大單元 在 豹投資 Facebook 的最佳貼文

    2020-06-01 20:00:16
    有 56 人按讚

    【#威剛(3260) - 全球第四大記憶體模組廠】
     
    ◎ 威剛為全球第四大記憶體模組廠,客戶以大型筆電品牌廠為主:
    根據集邦科技2019年9月報告顯示,威剛為世界第四大的記憶體模組廠,於公司擅尤的高ASP的SSD市場更是第二大的龍頭廠商(附件一),客戶以華碩、精英等傳統大型筆電廠商為主,隨著工控、電競、車電等需求開出,威剛也積極開發新解決方案。
     
    ◎ 2019年產業低潮下,NAND FLASH業務產值逆勢成長,帶動毛利表現 :
    威剛2019年整體營收雖因產業因素下降,但NAND FLASH與其他的產值卻逆勢增加22億,營收占比則增加17.53%(附件二),主要原因是2018年NAND FLASH廠商就開始消庫存(附件三),使得2019年NAND FLASH與DRAM相比庫存水位較低,加上6月東芝的跳電事件和7月日韓貿易戰延燒,推動了NAND FLASH現貨價反彈(附件四),使得NAND FLASH跌幅相比於DRAM較快收斂,加上威剛於工控、電競領域的利基型產品持續獲客戶肯定,量能開出一併改善毛利表現,全年毛利率11%(附件五),高於前年的6.1%,稅後淨利也翻正。
     
    ◎ 2020年第一季表現亮眼,毛利率高達23.8%,受惠於伺服器需求:
    第一季營收達71.85億元,YoY+12.1%,毛利率23.8%,創下歷史新高,單季EPS 2.06元,已高於去年全年EPS,主要原因是組裝廠商復工後,大舉向中上游零組件拉貨,使得2、3月市況優於預期,加上疫情影響下,遠端辦公、遠端教學的需求帶動伺服器、筆電、PC的需求。(附件六)
     
    ◎ CPU規格升級推升SSD搭載容量,帶動威剛銷量成長:
    在2019上半年SSD價格急跌之下,SSD成本與傳統固態硬碟價差縮小,筆電廠紛紛導入SSD,使得威剛自2019年Q3起即受到品牌廠強力的拉貨;後續原廠持續擴大出產的QLC NAND相比於傳統SSD所使用的TLC的成本來的更低,模組廠方面也積極導入96層3D NAND架構來提高儲存單元堆疊的密度以降低單位GB的生產成本,在原料和架構改善下,SSD的生產成本將持續降低,故搭配SSD已為筆電業不可逆的趨勢,且因應筆電CPU升級,主流品牌廠搭載的SSD容量提升至512 GB,成長了一倍,威剛身為全球第二大SSD廠商,將持續受惠於這波SSD的成長潮。
     
    ◎ FLASH工控領域進入門檻高,威剛獨創技術 - A+SLC:
    工控市場相較於目前主流的消費型市場而言,其需求是相對穩定的,年複合成長率維持6%左右,毛利率維持高檔,相對於記憶體其他子應用類別來說,也不太受產業循環的壓力,然而工控模組的進入門檻高,而其中FLASH因爲有分SLC/MLC/3D TLC不同存取可靠性的類別,相比來說DRAM模組在不同材料上並無明顯的存取可靠性差別,因此廠商進入工控FLASH須開發的衍生技術較多,進入門檻更高,但同時在工控領域中FLASH的運用比例也遠高於DRAM,促使各家模組廠開發的重心都放在高毛利的工控FLASH模組身上。
     
    威剛雖然不是工控模組的先行者,但在近三年內也將工控必備的核心技術開發完畢,於穩定性、強固性、安全性的技術開發已追上宇瞻、宜鼎等工控大廠,而讓威剛於工控領域佔有一席之地的核心技術乃其獨創的「A+SLC」技術。(附件七)
     
    ◎ A+SLC - 較低價格但具高可靠度的工控Flash選擇:
    隨著製程朝提升SSD容量方向發展,攸關可靠度的「寫入/抹除次數」(P/E cycles)也隨著製程的演進而減少,對於需要小容量但高可靠度的工業型應用而言,便只能選擇相對TLC/MLC昂貴許多的SLC產品;威剛的「A+ SLC」技術透過韌體管理,結合快閃記憶體篩選技術,實現一種特性相似於SLC的MLC衍生品,具有最高30000 P/E cycles的可靠度,為MLC的10倍次數,具備優越安全性同時具有價格與MLC相當的特性,目前威剛於3D TLC領域也導入此項技術,這項獨門技術除了提升中階規模工控客戶的購買意願外,最大幫助在於:由於記憶體的產業景氣受到原廠晶圓價格變動影響,對於進入景氣循環低潮的模組廠來說,公司可以避免因過產高成本但低售價的SLC模組而拖累盈利表現,並幫助威剛優化「成本管控」。
     
    唯須觀察的是,工控龍頭的宇瞻也同時在開發相似的技術,其在2D領域推出的SLC-lite技術可以提升至最高20000 P/E cycles,仍遜威剛A+SLC技術10000 P/E cycles,然而在去年8月發表的SLC-liteX技術,與A+SLC同樣是優化TLC的衍生技術,號稱能同時減少86%的成本(與SLC相比)並一樣維持30000 P/E cycles的高可靠度表現,十足為威剛於工控利基的一大威脅。
     
    ◎ XPG為業界最具全面性的電競硬體品牌,具有超頻技術優勢:
    威剛於2008年成立電競品牌XPG,一開始以電競記憶體、固態硬碟切入,後跨足周邊商品如電競耳機、遊戲機外殼、改裝套件後,才於今年初跨入電競筆電、顯示器的核心硬體,XPG優勢在於能提供玩家全套硬體的原廠改組,實為業界最全面性的電競硬體品牌,與學界合作的部分,XPG於2018年成立「極限超頻實驗室」並投入極限頻率特性研究,目前超頻紀錄維持第六(附件八),跑分上僅略輸於美光、華碩、芝奇、微星等電競硬體的大廠;相比於國內模組廠,威剛於超頻記憶體的技術具絕對優勢,有利於吃下高ASP的電競超頻記憶體模組訂單。
     
    ◎ 自有品牌 XPG 推出第一款電競筆電,定位中高階消費族群:
    威剛旗下電競品牌XPG與英特爾合作推出搭載英特爾第九代Core處理器的15.6吋XPG XENIA電競筆電,分為RTX/GTX型號,RTX價格最高為2199美元,而GTX型號的價格為1699美元,配備皆屬市面旗艦款,定位中高階消費族群,以應對PC市場衰退的態勢。
     
    ◎ 車電產品打入美日大型車廠供應鏈,下一步往新興市場開發:
    2018年底威剛延攬台灣車電控制元件(ECU)領導廠商永盛車電核心團隊,成立「威剛車電事業中心」,跳脫本業以研發終端車電產品為目的,並針對新興市場做客製化需求,目前車用儲存裝置及人車介面裝置成功打入美、日、韓等大型車廠的合格供應商行列;威剛下一步瞄準動力系統、駕駛輔助系統(ADAS)的商機,2020年隨著新車ADAS搭載率超過6成,車電事業部最快於下半年對營收產生貢獻。
     
    ◎ 運彩業衰退為業外風險:
    威剛持股台灣運彩47%,受到新冠疫情影響,3月中因NBA球星連續確診,宣布無限期延賽、義甲足球賽取消,東京奧運延期,運動彩券3月起面臨沒有賽事可投注的窘境,而依運彩過去銷售紀錄,美國職籃投注金占銷售總額五成,運彩經銷商公會預估2020年運彩銷售額減至5成,連帶影響威剛業外損益。
     
    ◎ 小結:
    展望2020年,上半年受惠於遠端(Telecommute)需求帶動伺服器、筆電品牌廠拉貨力道強勁,加上DRAM、SSD第一季報價轉好,助於威剛擺脫前季的低毛利表現;下半年隨市場預估疫情進入穩定期,電競、工控、車電等利基型產品放量將續帶動威剛成長。
     
    ◎ 補充:
    使用豹投資PRO,可以清楚地看到圖表化的財務報表,其中個股頁面中有提供三種分析功能,財務、籌碼及技術分析,而從財務分析的營運概況能發現,威剛如前面內容所述,2019年的營收因為產業因素而陷入衰退,不過今年在疫情過後,受惠組裝廠復工的大幅拉貨、加上遠距的需求,2、3月營收優於預期、開始成長,全年營運表現仍值得期待。(附件九)
     
    (附註資料補充在下圖)
     
    👉更多威剛的個股資訊:https://pse.is/SMDWE
     
    👉想知道更多威剛的消息,可持續追蹤豹新聞:https://pse.is/RH55R
     
    🎯專業的台股投資工具,開放免費試用30天!
    → 立即申請試用豹投資PRO:https://vip.above.tw/vip

  • cpu五大單元 在 台灣物聯網實驗室 IOT Labs Facebook 的精選貼文

    2019-11-03 16:11:46
    有 3 人按讚

    異質晶片整合 半導體中心助攻台廠搶AI及智慧感測商機

    2019/10/31 廣編企劃

    評論

    為推動科技產業升級,財團法人國家實驗研究院致力於推動國內科技人才培育及創新研發,近年除與學術界積極合作,提供平台解決方案以外,也積極協助產業界新創及新產品開發,希望作為學研與產業間的技術整合平台,以發揮高效的槓桿作用,創造共生共榮的各領域生態系。10月7日齊集台灣半導體研究中心、國家高速網路與計算中心、台灣儀器科技研究中心共同展出智慧領域技術成果,會中吸引廣大業界與學界共襄盛舉,希冀藉由這樣的機會,讓更多產學研界能認識國研院在研發平台服務所做的努力,並見證近年國研院引領的技術革新。

    5G及物聯網時代來臨,從工業領域的自動化生產機台,到3C消費領域的穿戴式裝置,都須要核心的晶片模組;然而不同的應用,也有不同的晶片設計須求,規格可說是千萬種。對於想要搶攻物聯網商機的大小企業和新創廠商來說,最大的挑戰就在於是否擁有晶片自主能量。例如研發工業用機器手臂的製造商,若能快速取得性能優異且有效整合電源、感測、記憶等多項功能的晶片模組,就能降低成本、縮短開發時間、優先進入市場。又如穿戴裝置,內部各自獨立的處理器、感測器、電路IC等,若能充分整合成更有效率的晶片單元,就能縮小穿戴裝置的體積,提高運作效能。

    異質整合研發有成 助攻國內產學界搶物聯網商機

    國研院旗下的台灣半導體研究中心,在「產業趨勢論壇暨國研院智慧領域」的活動發表歷經了多年研發,在「異質晶片整合」技術取得重大進展。不論是工業用大電流的電路IP晶片組、或是低耗電物聯網裝置的智慧感測晶片、甚至連深度學習、機器學習所需的AI運算晶片,產學界都能運用台灣半導體研究中心所開發出的異質晶片整合平台,來降低開發門檻,讓業者沒有後顧之憂,全力衝刺市場。

    半導體研究中心副主任莊英宗指出,半導體產業目前最熱門的趨勢是如何將不同材料整合為元件;這主要是因應物聯網時代包括電競、智慧家庭、無人載具、智慧城市等各種裝置,都有「異質運算核心」的需求。例如穿戴裝置愈來愈強調各種各樣的「感測」及「邊緣運算」,因此必須整合類比感測晶片與數位運算晶片;又如電路IP日益複雜,也須整合節能、電流、感測等多樣功能。

    物聯網應用百花齊放 自主晶片能量才能搶得先機

    莊英宗表示,「『物聯網』喊了很多年,過去未能大規模地實現,原因之一就是必須達成異質晶片的整合,才能降低物聯網裝置的開發成本及時程。」有別於過去的通用型晶片,未來AI、物聯網應用百花齊放,開發各式創新應用的小型企業,更須要的是符合自身需求的客製化晶片,然而學術單位或企業缺乏基礎研究與產品整合的驗證環境,自主開發晶片可說難上加難。這也是為什麼台灣半導體研究中心耗費五、六年的時間,研發出不同應用領域的異質晶片整合平台,來滿足產學界即將爆發的需求。

    以智慧感測晶片為例,原本感測單元、電路單元、記憶體單元、以及處理單元各自獨立,全都放入穿戴裝置內,將導致體積過大,不符實用。半導體中心提供的異質整合設計架構,可將所有單元有效整合,並已有成功設計案例;換言之,智慧手錶、生醫穿戴裝置、AR/VR裝置的開發廠商,只要採用這個異質整合架構,再加上自己的創意,就能快速開發出自有晶片。這也符合時下正夯的客製化ASIC(特殊應用積體電路)晶片概念。

    從穿戴裝置到無人工廠 晶片整合需求大不同

    過去行動通訊主要鎖定消費端,不過未來物聯網的創新應用,有很大一部分將在工業領域實現,例如工廠自動化、工業4.0等等。因此除了低功耗、小電流的穿戴裝置,台灣半導體研究中心也研發出大電流、高電壓所需的異質晶片設計平台,可廣泛應用於機器手臂、CNC自動生產機台、晶圓定位平台、甚至無人工廠等等工業場景。這對於擅長製造業的台灣而言,可說是既充滿商機又能實現產業的轉型升級。

    舉例來說,工業馬達內部的構造主要包括電源管理晶片、控制器、驅動IC等等;在以往,馬達的電源控制只須要兩條線,一進一出,但如今愈新型的馬達,效率愈高,所需接收的訊號也更為多元,勢必須要更複雜的控制電路;另一方面,節能的要求與日俱增,也必須在電源IC週邊加裝更多顆IC來符合綠能安規、進行斷路保護等。此外,生產線上的無人檢測、品管、預防性維修等,都會用到感測系統,更增添業者在整合上的難度,此時就很適合運用半導體中心的工業用異質晶片整合架構來進行突破。

    自主微感測系統晶片 是台灣創新的關鍵

    台灣半導體研究中心謝嘉民副主任表示,台灣發展IoT相關創新,自主微感測系統晶片是重大關鍵,它必須能涵蓋光、機感測器以及電路IP等不同屬性的IC及元件;不過因為前期研發投資大、驗證周期長,且應用情境太廣泛,一般廠商跨入不易。謝嘉民指出台灣半導體研究中心的異質系統整合平台,台灣半導體研究中心的異質系統整合平台,有兩大特色:一是盡量減少傳統的封裝,在IC層面進行整合;二是結合不同材料,將新式的感測用類比IC,建立國內產學研領域的系統級封裝研究生態圈,如提供微機電(MEMS)、感測器(Sensor)的半導體製造技術,並結合2.5D/3D先進超薄化封裝技術的驗證製造環境,以發展人體感測、工業訊號感測、甚至光達(LiDar)感測等應用,協助學界優秀的研究重果與業界生產技術接軌,提供國內發展微型化、行動裝置與物聯網相關解決方案。

    在成果發表的同一天,半導體中心也開放AI系統開發實驗室,供論壇與會者參觀。物聯網時代著重資料的運算分析,AI已成為不可或缺的解決方案;然而許多學術單位和新創公司,比較擅長軟體面,例如機器學習、深度學習等演算法或模型的開發;對於運算IC的硬體設計較為陌生。有鑑於此,半導體中心的AI系統開發架構也提供產學界使用,資源不足的小型單位,也可輕鬆發展出AI SoC(人工智慧系統單晶片)解決方案。

    半導體中心設計服務組蔡維昌組長補充,半導體中心提供的AI SoC設計平台,主要有兩大特點,一是提供客製化解決方案,可設計不同的應用需求的系統晶片,不必侷限市面上現成的通用AI SoC晶片;二是將AI SoC所需的的矽智產(IP)備妥並整合成晶片系統,學界或新創只須專注AI加速電路,大大簡化IC硬體的開發過程。

    舉例來說,大學實驗室在開發手機人臉辨識的AI模型或演算法時,需要IC硬體來進行運算,不過手機的人臉辨識解鎖,講求快速、低功耗等特性,所需的加速電路必須客製化,市面上的通用AI晶片無法滿足需求,加上設計一顆AI SoC需包括CPU、記憶體、輸入輸出週邊元件、匯流排、加速電路等各項元件等,而實驗室師生又沒有足夠的資源自行開發整顆AI SoC晶片,便形成研發瓶頸。

    此時半導體中心不僅提供AI SoC設計平台,還有相關的EDA設計軟體和訓練課程,AI開發者只要專注不同推論的加速電路設計,將之套用於設計平台,即可完成AI系統單晶片的開發。也就是說,學校實驗室或新創,透過這個設計平台,能夠快速驗證AI構想,縮短開發時程。除了手機之外,包括穿戴裝置的即時翻譯、生醫檢測儀等,都有低功耗、快速產出的特性,也很適合採用半導體中心的AI SoC設計平台。

    發揮槓桿作用 促進產學整合 創造台灣科技業契機

    莊英宗指出,「台灣半導體研究中心將自己定位為『新元件』、『新整合』、『新應用』的創意基地,努力解決產業界及學術界所面臨的問題。」藉由提供前瞻異質整合的共通平台,讓業者能輕易利用晶片設計環境、實作及封裝平台、取得客製化IP開發、驗證等各種服務。「我們的使命是發揮槓桿作用,將先進研發成果提供產業界及學術界運用,替台灣的科技業創造更多契機。」

    附圖:對於想要搶攻5G及物聯網商機的大小企業和新創廠商來說,最大的挑戰就在於是否擁有晶片自主能量。
    Photo Credit : 國研院
    圖2
    歷經多年研發,台灣半導體研究中心開發出的異質晶片整合平台,能針對感測類比晶片、運算數位晶片、電路IP進行高效能的整合。(Photo Credit : 國研院)
    台灣半導體研究中心針對工業用電路IP系統進行異質整合。(Photo Credit : 國研院)
    圖4
    台灣半導體研究中心推出的AI SoC設計平台,讓學術界及新創業者,也能輕鬆開發出AI晶片。(Photo Credit : 國研院)

    資料來源:https://www.inside.com.tw/article/17949-narlabs-tsri

你可能也想看看

搜尋相關網站